Master Slave Flip Flop ze wszystkimi ważnymi schematami obwodów i czasów oraz ponad 10 często zadawanymi pytaniami

Treść: Klapki Master Slave

Definicja przerzutnika Master Slave

Master-slave to połączenie dwóch połączonych szeregowo klapek, z których jeden działa jako master, a drugi jako slave. Każdy przerzutnik jest podłączony do impulsu zegarowego komplementarnego do siebie, tj. jeśli impuls zegarowy jest w stanie wysokim, przerzutnik główny jest w stanie włączonym, a przerzutnik podrzędny jest w stanie wyłączonym, a jeśli zegar Impuls jest w stanie niskim, przerzutnik główny jest w stanie wyłączonym, a przerzutnik podrzędny jest w stanie włączonym.

Master Slave Flip Flop jest również określany jako.

Przerzutnik wyzwalany impulsowo, ponieważ przerzutnik może być włączany lub wyłączany przez impuls CLK w tym trybie pracy.

Schemat przerzutnika Master Slave

Załóżmy, że w stanie początkowym Y=0 i Q=0, kolejnym wejściem jest S=1 i R=0; podczas tego przejścia, przerzutnik główny jest ustawiony i Y = 1, nie ma zmiany w przerzutniku podrzędnym, ponieważ przerzutnik podrzędny jest wyłączany przez odwrócony impuls zegarowy, gdy impuls zegarowy mastera zmienia się na „0”, wtedy informacja Y przechodzi przez slave i Q=1, w tym impulsie zegarowym przerzutnik slave jest aktywny, a bramki przerzutnika mastera dezaktywowane.

Klapki typu master-slave
Rys. Schemat logiczny przerzutnika typu master-slave.

Obwód przerzutnika Master Slave | Schemat obwodu przerzutnika typu master-slave

obraz 34
Rys. Taktowany master-slave przerzutnik JK

Schemat taktowania przerzutnika Master Slave

Zmiany na wejściu i wyjściu w odniesieniu do czasu można zdefiniować na wykresie czasowym.

Zachowanie przerzutnika typu master-slave można określić za pomocą wykresu czasowego. Na przykład na poniższym rysunku widzimy sygnał impulsu zegarowego, S jest sygnałem wejściowym do głównego przerzutnika, Y jest sygnałem O/P głównego przerzutnika, a Q jest sygnałem wyjściowym klapki niewolnika.

obraz 35
Rys. Zależność czasowa przerzutnika master-slave.

Master Slave Flip Flop Tabela prawdy

Tabela prawdy to opis wszystkich możliwych danych wyjściowych ze wszystkimi możliwymi kombinacjami danych wejściowych. W przerzutniku typu master slave flip flop są dwa przerzutniki połączone ze sobą odwróconym impulsem zegarowym, więc w tabeli prawdy typu master slave oprócz stanów przerzutnika musi znajdować się dodatkowa kolumna dla impulsu zegara, aby związek między można określić wejście i wyjście z impulsem zegarowym.  

Zastosowanie Master Slave Flip Flop

Konfiguracja urządzenia podrzędnego to służy głównie do wyeliminowania wyścigu wokół kondycji i pozbycia się niestabilnych oscylacji w flip flop.

Zalety Flip Flop Master Slave

Master-Slave może działać na impulsach zegarowych wyzwalanych poziomem lub zboczem; może być używany na różne sposoby.

  • Sekwencyjny obwód z przerzutnikiem sterowanym krawędzią jest prosty w projektowaniu, a nie przerzutnik wyzwalany poziomem.
  • Korzystając z konfiguracji Master-Slave, możemy również wyeliminować wyścig wokół stanu.

Klapki Master Slave JK

Przerzutnik Master slave JK mógł zostać zaprojektowany z wykorzystaniem przerzutników 2 JK, w tym każdy przerzutnik jest połączony z impulsem CLK komplementarnym do siebie, a pierwszy przerzutnik jest przerzutnikiem master, który działa, gdy impuls CLK jest w stanie wysokim. W tym czasie przerzutnik podrzędny jest w stanie wstrzymania, a jeśli impuls CLK jest w stanie niskim, przerzutnik podrzędny działa, a przerzutnik główny pozostaje w stanie wstrzymania.

Charakterystyka przerzutnika JK jest mniej więcej podobna do przerzutnika SR, ale w przerzutniku SR istnieje jeden niepewny stan wyjściowy, gdy S=1 i R=1, ale w przerzutniku JK, gdy J= 1 i K=1, przerzutnik przełącza się, co oznacza, że ​​stan wyjścia zmienia się z poprzedniego stanu.

Schemat obwodu przerzutnika JK Master Slave

obraz 36
Rys. Schemat obwodu głównego bloku zabezpieczenia JK.

Schemat czasowy JK Flip Flop Master Slave

obraz 37
Rys. Schemat czasowy dla przerzutnika JK Master slave

Tabela prawdy Master Slave JK Flip Flop

obraz 38

Praca przerzutnika Master Slave JK

Przerzutnik typu master slave może być wyzwalany zboczem lub poziomem, co oznacza, że ​​może zmienić stan wyjścia, gdy następuje przejście z jednego stanu do drugiego, tj. wyzwalany zboczem. Wyjście przerzutnika zmienia się przy wysokim lub niskim wejściu, tj. wyzwalanym poziomie. Klapki Master-Slave JK mogą być używane w obu wyzwalanych sposobach; w przypadku wyzwalania krawędzią może być wyzwalany +ve krawędzią lub -ve krawędzią.

W przypadku wyzwalania zboczem główny przerzutnik jest uzyskiwany z +ve zbocza impulsu zegarowego. W tym czasie przerzutnik podrzędny znajduje się w stanie wstrzymania, tj. wyjście urządzenia nadrzędnego jest zgodne z jego wejściem. Po nadejściu ujemnego impulsu zegarowego aktywowany jest przerzutnik podrzędny. O/p przerzutnika głównego rozchodzi się przez przerzutnik podrzędny; w tym czasie główny przerzutnik jest w stanie wstrzymania.

Pracujący:

  • Gdy J = 0, K = 0, nie będzie zmian na wyjściu z impulsem zegarowym lub bez niego.
  • Kiedy J = 1, K = 0, a impuls zegara jest na dodatniej krawędzi, wyjście master flip flop Q jest ustawione na wysokie, a gdy nadejdzie ujemna krawędź zegara, wyjście master flip flop przechodzi przez slave flip flop i produkcji.
  • Gdy J = 0, K = 1, a impuls zegara jest jedną dodatnią krawędzią, wyjście przerzutnika głównego Q jest ustawione jako niskie, a Q' jest ustawione jako wysokie, gdy ujemna krawędź zegara dociera do wyjścia Q' przerzucania głównego flop feed do slave flip flop, co powoduje ustawienie wyjścia slave Q na niskie.
  • Gdy J = K = 1, to przy dodatniej krawędzi impulsu zegarowego przerzutnik główny przełącza się (oznacza zmianę poprzedniego stanu na stan przeciwny), a przy ujemnym zboczu impulsu zegarowego przerzutnik podrzędny przełącza.

Master Slave JK Flip Flop Kod Verilog

moduł jk_master_slave(q, qbar, clk, j, k); wyjście q, qbar; wejście j, k, clk; drut qm, qmbar, clkbar; nie(clkbar, clk); jkff master(qm, qmbar, clk, j, k); jkff slave(q, qbar, clkbar, qm, qmbar); moduł końcowy jkff(q, qbar, clk, j, k); wejście j, k, clk; wyjście q, qbar; zawsze @(posge clk) case({j,k}) 2'b00: rozpocznij q<=q; qbar<=qbar; koniec 2'b01: początek q<=0; qbar<=1; koniec 2'b10: początek q<=1; qbar<= 0; koniec 2'b11: początek q<=~q; qbar<=~qbar; końcowy moduł końcowy

VHDL_kod

biblioteka IEEE; użyj IEEE.STD_LOGIC_1164.ALL; encja jkff to port(p, c, j, k, clk: w STD_LOGIC; q,qbqr: out STD_LOGIC); koniec jkff; architektura Zachowanie jkff to sygnał wejściowy: std_logic_vector(1 do 0); rozpocznij wprowadzanie <= j & k; process(clk, j, k, p, c) zmienna temp: std_logic:='0'; begin if(c='1' i p='1') then if rise_edge(clk) to wejście przypadku jest, gdy „10” => temp:= '1'; gdy “01”=> temp:= '0'; gdy „11”=> temp:= nie temp; kiedy inne => null; sprawa końcowa; koniec jeśli; w przeciwnym razie temp='0'; koniec jeśli; q<= temp; qbar<= nie temp; koniec procesu; koniec behawioralny

Zalety przerzutnika Master Slave JK

JK flip flop master slave przekracza ograniczenie przerzutnika SR, w przerzutniku SR, gdy nadejdzie warunek S = R = 1, wyjście staje się niepewne, ale w JK master slave, gdy J = K = 1, wyjście przełącza się, wyjście tego stanu zmienia się wraz z impulsem zegara.

Zastosowanie przerzutnika Master Slave JK Flip Flop

JK flip flop master slave pokonuje ograniczenia przerzutnika SR, w przerzutniku SR, gdy nadejdzie warunek S = R = 1, wyjście staje się niepewne. Jednak w JK master slave, gdy J = K = 1, to wyjście przełącza się, wyjście tego stanu zmienia się wraz z impulsem zegara.

Klapki Master Slave D

W tym nadrzędnym niewolniku również dwa Japonka D połączone ze sobą szeregowo z zapraszanym do siebie impulsem zegarowym. Podstawowy mechanizm tego typu master slave jest również podobny do innych przerzutników typu master slave. D master slave flip flop może być wyzwalany poziomem lub wyzwalanym zboczem.

Schemat obwodu przerzutnika Master Slave D

obraz 39

Rys. Reprezentacja blokowa układu przerzutnika typu master-slave D.

Schemat taktowania przerzutnika Master Slave D

Na schemacie, jeden sygnał impulsu zegarowego, jeden to D, i/p do przerzutnika master, Qm to wyjście/p przerzutnika master, a Q to wyjście/p przerzutnika slave.

obraz 40

Rys. Schemat taktowania przerzutnika Master Slave D

Master Slave D Flip Flop Tabela prawdy

obraz 41

Master Slave D Flip Flop za pomocą bramek NAND

Przerzutnik typu master slave D może być zaprojektowany z bramkami NAND; w tym obwodzie są dwa przerzutniki D, jeden działa jako przerzutnik główny, a drugi działa jako przerzutnik podrzędny z odwróconym impulsem zegarowym do siebie. Tutaj dla falownika używane są również bramki NAND.

obraz 42

Rys. Schemat ideowy przerzutnika Master Slave D zaprojektowanego z bramkami NAND.

Krawędź Master Slave wyzwalana D Flip Flop

Kiedy stan przerzutnika zmienia się podczas przejścia zegara, impuls jest znany jako przerzutnik wyzwalany zboczem i może być wyzwalany zboczem +ve lub -ve krawędzią. Flip flop wyzwalany +ve Edge oznacza, że ​​jego stan zmienił się podczas przejścia impulsu CLK ze stanu '0' do '1'. -ve krawędzi wyzwalane flip flop oznacza stan zmian flip flop podczas przejścia impulsu zegarowego ze stanu „1” do stanu „0”.

obraz 43

Rys..  Przerzutnik przerzutnik typu master-slave typu D z dodatnią krawędzią.

Przerzutnik d master slave wyzwalany zboczem dodatnim jest zaprojektowany z trzema podstawowymi przerzutnikami, jak pokazano na powyższym rysunku; S i R są utrzymywane na poziomie logicznym „1”, aby wyjście pozostało stabilne. Gdy S=0 i R=1, wyjście Q=1, gdzie dla S=1 i R=0 wyjście Q=0. Gdy impuls zegarowy zmienia się z 0 na 1, wartość D jest przekazywana do Q, zmienia się w D, gdy impuls zegarowy jest utrzymywany na '1', wartość Q nie ma wpływu, a przejście od 1 do 0 również nie powoduje zmian na wyjściu Q, ani gdy impuls zegara wynosi '0'.

Ale w praktycznym obwodzie występuje opóźnienie, więc aby uzyskać prawidłowe wyjście, musimy wziąć pod uwagę czas konfiguracji i czas utrzymywania, aby zapewnić prawidłowe działanie. Na określony czas przed nadejściem impulsu zegarowego należy przypisać wymaganie wartości D, aby czas nazywał się ustawienia czasu. Wstrzymaj się to czas, przez który wejście powinno być odczytywane po nadejściu impulsu zegarowego.

Przerzutnik RS Master Slave

Master slave to konfiguracja zapobiegająca niestabilnemu zachowaniu flip-flop; Tutaj w Przerzutnik typu master-slave RS, dwa przerzutniki RS są połączone w celu utworzenia konfiguracji master-slave, tutaj przerzutnik jest połączony z odwróconym do siebie impulsem zegarowym; gdy nadejdzie dodatnia połowa impulsu zegarowego, aktywowany jest przerzutnik główny, a podczas ujemnego impulsu zegarowego przerzutnik podrzędny jest aktywowany. Każda klapka działa w innym przedziale czasowym.

W konfiguracji master salve przerzutnika RS flip flop nie może mieć miejsca oscylacja, której nie można sprzedać, ponieważ w danym momencie przerzutnik główny jest w stanie wstrzymania lub przerzutnik podrzędny jest w stanie wstrzymania. Dla prawidłowego działania mater salve flip flop, musimy wziąć pod uwagę czas przetrzymania i czas konfiguracji, które mogą się różnić w zależności od obwodu; to zależy od projektu obwodu.

obraz 44
Rys. Blok Reprezentacja przerzutnika RS master slave

Schemat taktowania przerzutnika SR Master Slave

Tutaj jest jeden sygnał zegarowy, S jest sygnałem wejściowym do przerzutnika nadrzędnego, R jest również sygnałem I/p do przerzutnika nadrzędnego, Qm jest O/P przerzutnika nadrzędnego, Q jeśli Sygnał O/P przerzutnika slave.

obraz 45
Rys. Schemat czasowy przerzutnika typu master-slave SR.

Klapki typu Master Slave T

obraz 46
Rys. Schemat blokowy przerzutnika Master Slave T

FAQ / krótkie notatki

Co rozumiesz przez flip flop? | Czym jest Flip Flop na przykładzie?

Flip flop jest podstawowym elementem gry logika sekwencyjna obwód, element bistabilny, ponieważ ma dwa stabilne stany: „0”, a drugi to „1”. Może przechowywać tylko 1 bit na raz i obwód przerzutnika zdolny do utrzymywania swojego stanu w nieskończoność lub do momentu dostarczenia zasilania do obwodu. Stan O/P przerzutnika można zmienić za pomocą sygnału wejściowego i impulsu zegarowego do przerzutnika. Po dodaniu obwodu zatrzasku z kilkoma podstawowymi bramkami i impulsem zegarowym jest to przerzutnik. Przykładem flip flop jest flip flop D, flip flop SR, flip flop JK itp.

Co to jest flip flop S i R?

W flip-flopie SR S oznacza zestaw, a R oznacza reset; z tego powodu jest również nazywany przerzutnikiem Set Reset. Może być zaprojektowany z dwiema bramkami AND i impulsem zegarowym do zatrzasku SR. Gdy impuls zegarowy wynosi „0”, żadna wartość wejściowa przechodząca przez S lub R nie może zmienić wartości wyjściowej Q, a gdy impuls zegarowy wynosi „1”, wartość wyjścia Q zależy od wartości wejściowych S i R.

obraz 47
Rys. Schemat przerzutnika SR

Jakie są rodzaje klapek?

Istnieją cztery rodzaje klapek:

  1. SR FF.
  2. JK FF.
  3. D FF.
  4. T FF.

Co to jest klapka JK?

Charakterystyka flip flop JK jest mniej więcej podobna do flip flop SR, ale w flip flop SR istnieje jeden niepewny stan wyjściowy, gdy S=1 i R=1, ale w flip flop JK, gdy J=1 i K= 1, przerzutnik przełącza się, co oznacza, że ​​stan wyjścia zmienia się z poprzedniego stanu.

Przerzutnik JK można zaprojektować przez dodanie bramek AND do wejścia S i R w przerzutniku SR, wejście J i wyjście Q' są stosowane do bramki AND połączonej z S i wejściem K, a wyjście Q jest stosowane do I brama połączona z R.

obraz 48
Rys. Klapki JK są zaprojektowane z klapkami SR.

Jak działa klapka JK?

Gdy zegar nie jest dostarczany lub zegar jest niski, zmiana wejścia nie ma wpływu na wyjście. Tak więc, aby manipulować wyjściem za pomocą zegara wejściowego, impuls musi być wysoki.

obraz 49
Rys. Schemat blokowy przerzutnika JK.

Działanie przerzutnika JK przy wysokim impulsie zegarowym:

  • Gdy J = 0 i K = 0, nie będzie zmian na wyjściu.
  • Gdy J = 0 i K = 1, to wartość wyjścia zostanie zresetowana.
  • Gdy J = 1 i K = 0, to wartość wyjścia zostanie ustawiona.
  • Gdy J = 1 i K = 1, wartość wyjściowa jest przełączana (oznacza przełączenie na stan przeciwny). W tym stanie wyjście będzie się stale zmieniać wraz z impulsem zegarowym.

Dlaczego używa się klapek JK?

Flip flop JK jest bardziej wszechstronny niż flip flop D lub flip flop SR; mogą działać więcej funkcji niż jakikolwiek inny flip-flop, są szeroko stosowane do przechowywania danych binarnych. Flip flop JK również pokonuje niepewne stany flip flop SR.

Jak przełącza się flip flop JK?

Gdy wejście do przerzutnika J = K = 1 z wysokim impulsem zegara, wtedy przełącza się przerzutnik JK.

Dlaczego flip flop D nazywa się opóźnieniem?

Następny stan wyjścia przerzutnika D następuje po wejściu D, gdy przyłożony jest impuls zegarowy, w ten sposób dane wejściowe są przesyłane na wyjście z opóźnieniem, dlatego nazywa się to przerzutnikiem opóźniającym.

Jakie są zastosowania flip-flopów?

Flip flop jest zwykle używany jako

  • Elementy pamięci. 
  • W rejestrach zmianowych. 
  • Liczniki cyfrowe.
  • Częst. Obwody rozdzielające.
  • Przełącznik eliminacji odbić itp.

Jakie są cechy flip-flop?

To jest synchroniczne obwód sekwencyjny; zmienia stan wyjścia tylko wtedy, gdy obecny jest impuls zegarowy. Jest to podstawowy element pamięci dla dowolnego układu sekwencyjnego, może przechowywać jeden bit na raz. Jest to urządzenie bistabilne.

Jaka jest różnica między flip flopem D i T?

  • D flip flop nie może przyjmować podobnych danych wejściowych, ponieważ D i D' jest jego dwoma danymi wejściowymi, więc dane wejściowe są zawsze komplementarne względem siebie. Z drugiej strony, oba dane wejściowe w T są jedynymi T, więc oba dane wejściowe do przerzutnika T będą zawsze takie same.
  • D flip flop to opóźniony flip flop, w tym flip flop wyjście podąża za wejściem wraz z nadejściem impulsu zegara, podczas gdy T flip flop jest nazywany Toggle flip flop, w którym wyjście zmienia się na przeciwny stan z każdym nadejściem impulsu zegara, gdy wejście wynosi 1.

Gdzie są używane klapki D?

Jest powszechnie używany jako urządzenie opóźniające lub do przechowywania 1-bitowych informacji o danych.

Zostaw komentarz